內容簡介

本書是美國加州大學伯克利分校經典教材。本書分三部分︰基本單元、電路設計和系統設計。在對MOS器件和連線的特性做了簡要介紹之後,深入分析了反相器,並逐步將這些知識延伸到組合邏輯電路、時序邏輯電路、控制器、運算電路及存儲器這些復雜數字電路與系統的設計中。本書以0.25微米CMOS工藝的實際電路為例,討論了深亞微米器件效應、電路最優化、互連線建模和優化、信號完整性、時序分析、時鐘分配、高性能和低功耗設計、設計驗證、芯片測試和可測性設計等主題,著重探討了深亞微米數字集成電路設計面臨的挑戰和啟示。

本書可作為高等院校電子科學與技術、電子與信息工程、計算機科學與技術等專業高年級本科生和研究生有關數字集成電路設計方面課程的教科書,也可作為從事這一領域的工程技術人員的參考書。
 

目錄

第一部分 基本單元
第1章 引論
1.1 歷史回顧
1.2 數字集成電路設計中的問題
1.3 數字設計的質量評價
1.4 小結
1.5 進一步探討
第2章 制造工藝
2.1 引言
2.2 CMOS集成電路的制造
2.3 設計規則——設計者和工藝工程師之間的橋梁
2.4 集成電路封裝
2.5 綜述︰工藝技術的發展趨勢
2.6 小結
2.7 進一步探討
設計方法插入說明A——IC版圖
第3章 器件
3.1 引言
3.2 二極管
3.3 MOS(FET)晶體管
3.4 關于工藝偏差
3.5 綜述︰工藝尺寸縮小
3.6 小結
3.7 進一步探討
設計方法插入說明B——電路模擬
第4章 導線
4.1 引言
4.2 簡介
4.3 互連參數——電容、電阻和電感
4.4 導線模型
4.5 導線的SPICE模型
4.6 小結
4.7 進一步探討
第二部分 電路設計
第5章 CMOS反相器
5.1 引言
5.2 靜態CMOS反相器——直觀綜述
5.3 CMOS反相器穩定性的評估——靜態特性
5.4 CMOS反相器的性能——動態特性
5.5 功耗、能量和能量延時
5.6 綜述︰工藝尺寸縮小及其對反相器衡量指標的影響
5.7 小結
5.8 進一步探討
第6章 CMOS組合邏輯門的設計
6.1 引言
6.2 靜態CMOS設計
6.3 動態CMOS設計
6.4 設計綜述
6.5 小結
6.6 進一步探討
設計方法插入說明C——如何模擬復雜的邏輯電路
設計方法插入說明D——復合門的版圖技術
第7章 時序邏輯電路設計
7.1 引言
7.2 靜態鎖存器和寄存器
7.3 動態鎖存器和寄存器
7.4 其他寄存器類型
7.5 流水線︰優化時序電路的一種方法
7.6 非雙穩時序電路
7.7 綜述︰時鐘策略的選擇
7.8 小結
7.9 進一步探討
第三部分 系統設計
第8章 數字IC的實現策略
8.1 引言
8.2 從定制到半定制以及結構化陣列的設計方法
8.3 定制電路設計
8.4 以單元為基礎的設計方法
8.5 以陣列為基礎的實現方法
8.6 綜述︰未來的實現平台
8.7 小結
8.8 進一步探討
設計方法插入說明E——邏輯單元和時序單元的特性描述
設計方法插入說明F——設計綜合
第9章 互連問題
9.1 引言
9.2 電容寄生效應
9.3 電阻寄生效應
9.4 電感寄生效應
9.5 高級互連技術
9.6 綜述︰片上網絡
9.7 小結
9.8 進一步探討
第10章 數字電路中的時序問題
10.1 引言
10.2 數字系統的時序分類
10.3 同步設計——一個深入的考察
10.4 自定時電路設計
10.5 同步器和判斷器
10.6 采用鎖相環進行時鐘綜合和同步
10.7 綜述︰未來方向和展望
10.8 小結
10.9 進一步探討
設計方法插入說明G——設計驗證
第11章 設計運算功能塊
11.1 引言
11.2 數字處理器結構中的數據通路
11.3 加法器
11.4 乘法器
11.5 移位器
11.6 其他運算器
11.7 數據通路結構中對功耗和速度的綜合考慮
11.8 綜述︰設計中的綜合考慮
11.9 小結
11.10 進一步探討
第12章 存儲器和陣列結構設計
12.1 引言
12.2 存儲器內核
12.3 存儲器外圍電路
12.4 存儲器的可靠性及成品率
12.5 存儲器中的功耗
12.6 存儲器設計的實例研究
12.7 綜述︰半導體存儲器的發展趨勢與進展
12.8 小結
12.9 進一步探討
設計方法插入說明H——制造電路的驗證和測試
思考題答案
 

自從美國加州大學伯克利分校的Jan M.Rabaey教授所著的《數字集成電路—電路、系統與設計》一書的第一版于1996年出版以來,一直深受國內外廣大讀者(包括本科生、研究生、教師和工程技術人員)的歡迎。然而自那時候起,CMOS的制造工藝繼續以驚人的步伐前進,目前已經達到了前所未有的深亞微米的精度。進入到深亞微米範圍後,器件特性的變化引起了一系列的問題,它影響到數字集成電路的可靠性、成本、性能以及功耗。對這些問題的深入討論是本書第二版(以0.25微米的CMOS工藝作為討論的基礎)與第一版(以12微米工藝作為討論的基礎)之間的主要區別。考慮到MOS電路現已佔有99%的數字集成電路市場份額,第二版刪去了第一版中有關雙極型和GaAs的內容,從而完全集中在CMOS集成電路上。

第二版保留了第一版的寫作基本精神和編寫目的——在數字設計中建立起電路和系統之間的橋梁。不同于其他有關數字集成電路設計的教科書,本書不是孤立地介紹“數字電路”、“數字系統”和“設計方法”,而是把這三者有機地結合起來。全書共12章,分為三部分︰基本單元、電路設計、系統設計。在對MOS器件和連線的特性做了簡要的介紹之後,深入分析了數字設計的核心——反相器,並逐步將這些知識延伸到組合邏輯電路、時序邏輯電路(鎖存器與寄存器)、控制器、運算電路(加法器、乘法器)以及存儲器這些復雜數字電路單元的設計。為了反映數字集成電路設計進入深亞微米領域後正在發生的深刻變化,第二版增加了許多新的內容,包括深亞微米器件效應、電路最優化、互連線建模和優化、信號完整性、時序分析、時鐘分配、高性能和低功耗設計、設計驗證、對實際制造芯片的確認和測試。在闡述所有這些內容時都列舉了現今最先進的設計例子,以著重說明深亞微米數字集成電路設計面臨的挑戰和啟示。本書特別把設計方法學單獨列出並分插在有關的各章之後,以強調復雜電路設計者共同面臨的感興趣的問題,即起決定作用的設計參數是什麼,設計的哪些部分需要著重考慮而哪些部分又可以忽略,此外還強調了在進行數字電路設計時一定要同時注意電路和系統兩方面的問題。每章後面都對未來的發展趨勢給出了綜述和展望。通過這一獨特的介紹分析技術和綜合技術的方法,第二版最有效地為讀者帶來了處理復雜問題所需要的基本知識和設計技能。

本書可作為高等院校電子科學與技術(包括微電子與光電子)、電子與信息工程、計算機科學與技術、自動化等專業高年級本科生和研究生有關數字集成電路設計方面課程的教科書。由于涉及面廣並且增加了當前最先進的內容,也使這本教材成為對這一領域的工程技術人員非常有用的參考書。

本書在翻譯過程中得到了電子工業出版社的大力支持,得到了清華大學微電子學研究所領導和多位教師的關心,特別是得到了朱鈞教授、賀祥慶教授、吳行軍副教授、李樹國副教授以及海燕、韋瑩、錢欣、郝效孟、陸自強、郭磊等多位老師的幫助與指正。我的博士研究生戴宏宇、張盛、王乃龍、楊騫、肖勇、張建良以及博士研究生董良等在完成譯稿過程中給予了我很大的支持。我的妻子金申美和女兒周曄不僅幫助翻譯修改了部分章節,而且完成了全部的文字輸入和文稿整理。在此一並深表謝意。

最後,本書雖經仔細校對,但由于譯者水平有限,文中定會有不當或欠妥之處,望讀者批評指正。
網路書店 類別 折扣 價格
  1. 新書
    $354